CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL UART

搜索资源列表

  1. UART_CTRL

    0下载:
  2. uart标准协议控制寄存器代码,基于fpga,vhdl语言-uart standard protocol control register code, based on fpga, vhdl language
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:1957
    • 提供者:宋晨
  1. uart_mod

    0下载:
  2. 与上位机通信的串口驱动程序,基于VHDL语言-uart module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2758
    • 提供者:宋振伟
  1. uartlvds

    0下载:
  2. UART VHDL sources with FIFO-UART VHDL sources with FIFO,baudrate,receiver,transmitter,register,testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:11961
    • 提供者:毕向伟
  1. Uart_Tx

    0下载:
  2. Uart Transmitter with VHDL
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-12
    • 文件大小:786
    • 提供者:meh
  1. UART_VHDL

    0下载:
  2. UART controller for VHDL on Spartan dev board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:12128
    • 提供者:SilentB
  1. blutooth

    0下载:
  2. A VHDL bluetooth transceiver UART project with python scr ipts.
  3. 所属分类:Home Personal application

    • 发布日期:2017-04-29
    • 文件大小:104879
    • 提供者:Pasqualino31
  1. vhdl_source

    0下载:
  2. VHDL语言实现UART 串口功能;波特率可以自由设置。-VHDL language UART serial port function,The baud rate can be freely set.
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2820
    • 提供者:ybzhang
  1. IP

    1下载:
  2. USB+UART+I2C+VGA+ARM7+MC8051 altera IP核-USB+UART+I2C+VGA+ARM7+MC8051 Verrlog VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3806308
    • 提供者:刘春焱
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
  1. UART_16750_vhdl

    0下载:
  2. UART串口FPGA源文件,VHDL设计文件,兼容16750-UART FPGA VHDL 16750
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:23295
    • 提供者:yp
  1. code

    0下载:
  2. vhdl code which includes various codes of clock divider uart lcd etc
  3. 所属分类:DSP program

    • 发布日期:2017-12-14
    • 文件大小:2028132
    • 提供者:devi
  1. OTU_RXBLK

    0下载:
  2. cctv otu rx block source
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:seckim1
  1. uart_test

    0下载:
  2. 通过FPGA,实现串口传输数据,并且可以支持多种不同的波特率,用EP4CE22F17芯片实现。(Through the FPGA, serial transmission data, and can support a variety of baud rates, using EP4CE22F17 chip implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:y1a2n3g4
« 1 2 ... 18 19 20 21 22 23»
搜珍网 www.dssz.com